与ASML平分市场日本这家工程机械企业不容忽视

来源:华体会hth登录入口ios    发布时间:2023-12-25 01:07:39

  据路透社报道,荷兰政府计划于当地时间6月30日宣布新法规,对ASML次顶级产品线深紫外DUV光刻机提出许可要求,此前,ASML极紫外EUV光刻机已经受到限制。

  ASML在3月份表示,预计荷兰法规将影响其TWINSCAN NXT:2000i和更复杂的型号。消息人士称,荷兰新法规不会立即生效,一位人士预计生效日期为9月,即发布两个月后。报道称,美国预计将更进一步,限制更多荷兰设备出口到特定的中国工厂,ASML较旧的DUV型号,如TWINSCAN NXT:1980Di也可能被美国禁止。知情人士称,新规将要求向大约六家中国工厂出口相关设备需要许可证,甚至部分美国零部件也被禁止出口这一些企业,该规定可能在7月底公布。美国的新规将适用于全球领先的芯片设备制造商ASML,是因为其系统包含美国零部件,但具体会涉及哪些设备,需要等待美国的新规细则出炉。虽然荷兰的ASML在EUV相关设备市场上垄断了核心光刻机,但日本在DUV光刻设备中的实力也不容忽视。Gigaphoton是日本最大工程机械企业小松旗下的半导体企业,在光刻设备的DUV光源领域,Gigaphoton与ASML旗下的Cymer(2012年被ASML收购)平分市场。做为世界首家研发成功并量产目前本世代深紫外光刻(DUV)技术中最先进的ArF 193 nm准分子激光光源的厂商。在光源这个光电子领域最上游的环节中,Gigaphoton和Cymer也是仅存的两家有能力开发次世代极紫外光刻机用LPP型(激光等离子体)光源的制造商。据日经新闻网报道,在半导体制造中起到关键作用的光刻设备的主流类型中,该公司掌握核心设备的全球约5成份额。营业利润率(约20%)甚至超过盈利能力强的母公司小松,企业估值达到3000亿日元。

  作为小松的主力基地,日本栃木县小山市的小山工厂生产堪称工程机械心脏的柴油发动机和液压设备等,并向全世界供应。性质完全不同的Gigaphoton的总部就位于2022年7月,该公司启动了新生产厂房的开工建设。本次新厂房建设项目的投资金额约为50亿日元,计划于2023年6月完成,完成后的生产能力将提高到2020年度的2.5倍。据悉,此厂房是针对使用以往主流的“深紫外线(DUV)”的光刻设备,Gigaphoton提供核心设备光源等。

  如果新厂房能在今年年内竣工,包括最近增强部分在内,产能将提高至2020财年(截至2021年3月)的逾2倍。将扩大对重要客户荷兰阿斯麦(ASML、全球最大光刻设备制造商)等的供货。ASML在用来制造电路极其微细的最尖端半导体的“极紫外(EUV)”光刻设备领域独占鳌头,但Gigaphoton认为面向“深紫外线”(DUV)的产品仍有增长空间。Gigaphoton是在2000年8月1日成立的,目前拥有1260名员工,小松和牛尾电机分别出资50%。他们利用激光技术,该技术最初用于调查工程机械等前端内部燃烧情况,进军了光刻用光源领域。此举是为摆脱过度依赖成熟市场的工程机械业务,通过认可的电子业务拓展挑战。为了重组业务,小松决定在2006年将全球第5大硅晶圆制造商小松电子金属出售给SUMCO,而保留了Gigaphoton。小松承担了Gigaphoton海外业务的行政工作,派遣人员支援公司治理和生产等,提供了慷慨的支持。“与作为主业的工程机械没有技术方面的协同效应”,小松曾这样明确说。Gigaphoton的单独营业收入2022财年为585亿日元,只占小松合并营业收入的1.7%,规模很小。但营业利润率高达约20%,超过母公司(14%)。已成为引领全公司工业机械有关部门的赚钱大户。小松的首席财务官(CFO)堀越健表示,“利润率非常高,我认为将来还会增长”,透露了继续把Gigaphoton留在旗下的理由。在用于光刻设备的EUV光源领域,Gigaphoton虽然具有与Cymer竞争的潜力,但也有落后于并入阿斯麦旗下的Cymer的痛苦经历。尽管在EUV光源领域,Gigaphoton希望能够通过在检验测试领域重振旗鼓,但在规模庞大的半导体行业中,作为一家规模较小的公司,Gigaphoton始终面临依赖于特定客户的风险。目前,来自台湾省的营收占整体的25%,来自中国大陆的占15%。此外,半导体出口管制的影响以及地理政治学也增加很多不确定性。Gigaphoton计划到2030年争取获得DUV光源市场的60%份额,实现1000亿日元的营业收入,将营业利润率提高至25%。

  关键字:编辑:王兆楠 引用地址:与ASML平分市场,日本这家工程机械企业不容忽视

  据路透社报道,SK海力士周三表示,已与ASML签订一项为期5年、价值4.8万亿韩元(43.4亿美元)的采购合同。据悉,此举是为芯片制造确保足够数量的EUV光刻机。 SK海力士在一份监管文件中称,这一笔交易是为实现下一代工艺芯片量产的目标。

  英特尔  (Intel)投资设备商 ASML 公司41亿美元的举动,跟在餐厅侍者上菜前就先付账的做法没什么不同。 但ASML有理由这样做。 10年前,当半导体产业开始从200mm晶圆过渡到300mm晶圆时,晶片制造商们便说服工具供应商来为新制程的研发买单,并承诺他们将凭借着强劲的晶片销售来回馈给新系统。 然而,当网路泡沫来临时,他们却溜之大吉,更大的意外是──晶片制造商决定推迟部署300mm产能。 可想而知许多设备界的高层有多痛苦了。 特别是几年前,晶片制造商又谈论朝450mm晶圆转移的谈话之际。 许多人打从一开始就对450mm能否成真抱持怀疑态度。 现在看来,450mm是不可避免的,

  北京时间8月7日消息,据外国新闻媒体报道,荷兰上市公司半导体行业光刻系统提供商ASML今日宣布,三星电子已同意斥资5.03亿欧元(合6.29亿美元)购买公司3%的股份;此外,三星还承诺将投资2.76亿欧元(合3.45亿美元),用于在未来五年内研发ASML下一代半导体光刻技术。 三星此次承诺用于研发创新技术的总金额高达9.74亿美元,接近于三星刚刚在苹果专利战失利所遭受的罚款。 通过参与所谓的“客户创新联合投资项目”,ASML已经吸引众多投资对象。加上三星此次承诺未来五年内投资2.76亿欧元,ASML如今的研发基金总额已经高达13.8亿欧元。 据公司声明表示ASML研发项目的最终目的是“为广大购买的人提供更加智能、更强大、更具耗能效率

  不久前,高通宣布未来集成5G基带的骁龙芯片将基于三星的7nm制造,具体来说是7nm LPP,使用EUV(极紫外)技术。 紧接着,三星就在华诚破土动工了一座新的7nm EUV工艺制造工厂,2020年之前要投产。 看似风风火火,但其实7nm EUV依然面临着不少技术难题。 据EETimes披露,在最近的芯片制造商会议上,有厂商就做了犀利地说明。 比如,GlobalFoundries研究副总裁George Gomba就表示,唯一有能力做250瓦EUV光刻机的ASML(阿斯麦)提供的现款产品NXE-3400仍不能够满足标准,他们建议供应商好好检查EUV光罩系统,以及改进光刻胶。 这里对光刻做一下简单科普。 光刻就是将构成芯片的图案蚀刻到硅晶

  光刻机巨头 ASML 4 月 20 日发布了 2022 年第一季度财务报表。2022年第一季度,ASML实现了净销售额35亿欧元,毛利率为49.0%,纯利润是6.95亿欧元,新增订单金额70亿欧元。ASML预计2022年第二季度净销售额约为51亿~53亿欧元,毛利率约为49%~50%。 (1) 累计 装机管理销售额等于净服务和升级方案 (field option)销售额的 总和。 (2) 系统订单包括所有的系统销售订单 。 (3) 数字已经四舍五入,方便读者阅读。 基于 美国通用会计准则合并 的财报 完整摘要发布在 。 ASML总裁兼首

  发布2022年第一季度财务报表:新增订单金额70亿欧元 /

  作为进入设计制造(DFM)市场的主要举措,日前荷兰ASML公司日前宣布将收购半导体设计和晶圆制造技术供应商Brion Technologies公司,收购价为现金2.7亿美元。 通过收购,半导体光刻工具巨头ASML将进入新的DFM市场。Brion成立于2002年,致力于日渐增长的计算光刻(computational lithography)市场,经营事物的规模包括设计验证、刻线增强技术和光学矫正。Brion宣称,其计算光刻技术能让半导体制造商模拟真实电路模型,纠正晶圆制作的完整过程中出现的偏差。 Brion与第三方合作伙伴签有各种合作协议,包括与ASML的竞争对手Nikon公司,目前尚不清楚Brion是否继续与Nikon的DFM协

  受惠于各家晶圆代工厂,包括台积电、三星、格罗方德等企业纷纷宣布将在 2018 年导入 7 奈米先进制程的情况下,EUV 极紫外线光刻机在其中所扮演的关键角色就逐渐重要。 而目前做为光刻机的龙头老大荷兰艾斯摩尔 (ASML) 占据着高达 80% 的市场占有率,垄断了高阶光刻机的市场。 过去,在 14 及 16 奈米制程阶段,各家代工厂的及紫外线光刻机都是来自 ASML。 因此,不但带动了 2017 年 ASML 整体营收成长 25%,未来更被看好后续的发展状况。 在 2017 年,EUV 光刻机的年产量只有 12 台,几乎每个月只生产出一台。 就其高难度的生产技术来说,使得每台 EUV 光刻机的单价超过了 1 亿欧元。 不过,虽

  集微网消息,随着美中芯片制造之争的加剧,全球最大的光刻机供应商AMSL面临着很多棘手的抉择。 ASML可以说是欧洲最有价值的科技公司之一,该企业的未来看起来依然非常光明。作为所谓的“人类制造的最复杂的机器”——光刻机的制造商,ASML目前从全球半导体市场的繁荣中所获不菲。 当地时间本周三,AMSL公布了今年第一财季的业绩。该公司目前的市值约为3000亿美元,过去5年增长了近6倍。按照ASML的预测,到2030年,全球半导体行业的总销售额将翻一番,达到1万亿美元。ASML首席执行官Peter Wennink说:“我们的客户对光刻机充满了渴望”。 《金融时报》7月22日指出,与其他半导体厂商一样,ASML也陷入了中美两国高科技战的

  崛起之路

  解锁【W5500-EVB-Pico】,探秘以太网底层,得捷电子Follow me第4期来袭!

  有奖直播 与英飞凌一同革新您的电动汽车温控系统:集成热管理系统(低压侧)

  随着投资者准备迎接芯片行业长时间的疲软,台积电自6月中旬以来所损失的市值规模在亚洲排名居首。本轮下跌可能尚未结束。出于对宏观环境和 ...

  12月21日消息,据新闻媒体报道,在今天的“2023科技风云榜”年度盛典上,中国工程院院士、清华大学教授郑纬民发表了关于大模型训练算力系统演讲 ...

  英特尔 CEO 亲自站台:Intel 18A 优势略高于台积电 N2 工艺

  12 月 21 日消息,英特尔首席执行官帕特・基辛格(Pat Gelsinger)近日在接受媒体采访时表示,英特尔的 18A 工艺和台积电的 N2 工艺不 ...

  12 月 21 日消息,根据 TechNews 报道,台积电位于美国亚利桑那州的 Fab21 工厂目前正处于密集的设施安装调试阶段,而且已经启动了 ...

  上海灵动微电子股份有限公司近日宣布(以下简称“灵动微电子”),已获得上海科创集团旗下海望基金的新一轮战略性投资。此前,灵动微电子还 ...

  DRAM / NAND 巨头明年加码半导体投资:三星增加 25%、SK 海力士增加 100%

  东芝的新款150V N沟道功率MOSFET具有业界领先的低导通电阻和改进的反向恢复特性

  以科技先行,传感新未来 下载艾迈斯半导体 《高精度短距离测量方案 》答题赢好礼!

  nanoPower技术:延长电池使用寿命,提升传感器性能 2021年1月20日 上午10:00在线 EEWORLD 年度人物大评选活动评奖揭晓

  材料技术封装测试工艺设备光伏产业平板显示EDA与IP电子制造视频教程词云: